2024
- Machine Learning Unleashes Aging and Self-Heating Effects: From Transistors to Full Processor. Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24), 2024 more…
- Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy. IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24), 2024 more…
- In-Memory Acceleration of Hyperdimensional Genome Matching on Unreliable Emerging Technologies. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), 2024 more… Full text ( DOI )
- HDCircuit: Brain-inspired Hyperdimensional Computing for Circuit Recognition. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
- On the Severity of Self-Heating in FDSOI at Cryogenic Temperatures: In-depth analysis from Transistors to Full Processor. Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24), 2024 more…
- Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy. IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24), 2024 more…
- Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
- Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
- Impact of Self-Heating in 5 nm FinFETs at Cryogenic Temperatures for Reliable Quantum Computing: Device-Circuit Interaction. Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24), 2024 more…
- Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy. IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24), 2024 more…
- Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
2023
- A Review on Hyperdimensional Computing. Proceedings of the IEEE International Conference on Mechatronics (ICM), 2023 more…
- Beyond von Neumann Era: Brain-Inspired Hyperdimensional Computing to the Rescue. 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023 more… Full text ( DOI )
- ML to the Rescue: Reliability Estimation from Self-Heating and Aging in Transistors All the Way up Processors. 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023 more… Full text ( DOI )
- HDGIM: Hyperdimensional Genome Sequence Matching on Unreliable highly scaled FeFET. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023 more… Full text ( DOI )
- Programmable Delay Element using Dual-Port FeFET for Post-Silicon Clock Tuning. IEEE Electron Device Letters (EDL) PP, 2023, 1-1 more… Full text ( DOI )
- Defying Temperature: Reliable Compute-in-Memory in Monolithic 3D using BEOL Ferroelectric TFT. 69th Annual IEEE International Electron Devices Meeting (IEDM), 2023 more…
- HW/SW Codesign for Approximation-Aware Binary Neural Networks. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 13 (1), 2023, 33-47 more… Full text ( DOI )
- Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies. IEEE/ACM 42nd International Conference on Computer-Aided Design (ICCAD), 2023 more…
- Modeling and Predicting Transistor Aging Under Workload Dependency Using Machine Learning. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) 70 (9), 2023, 3699-3711 more… Full text ( DOI )
- Cryogenic Embedded System to Support Quantum Computing: From 5-nm FinFET to Full Processor. IEEE Transactions on Quantum Engineering (IQE) 4, 2023, 1-11 more… Full text ( DOI )
- Tutorial: The Synergy of Hyperdimensional and In-memory Computing. International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS ), 2023 more…
- SyncTREE: Fast Timing Analysis for Integrated Circuit Design through a Physics-informed Tree-based Graph Neural Network. Thirty-seventh Conference on Neural Information Processing Systems (NeurIPS), 2023 more…
- Robust Pattern Generation for Small Delay Faults under Process Variations. IEEE International Test Conference (ITC), 2023 more…
- Evaluating the Robustness of Complementary Channel Ferroelectric FETs Against Total Ionizing Dose Towards Radiation-Tolerant Embedded Nonvolatile Memory. IEEE Electron Device Letters (EDL) PP, 2023, 1-1 more… Full text ( DOI )
- Upheaving Self-Heating Effects from Transistor to Circuit Level using Conventional EDA Tool Flows. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023 more… Full text ( DOI )
- Transistor Self-Heating-Aware Synthesis for Reliable Digital Circuit Designs. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
- Stress-Resiliency of AI Implementations on FPGAs. 33rd International Conference on Field-Programmable Logic and Applications (FPL), 2023 more… Full text ( DOI )
- Nontraditional Design of Dynamic Logics Using FDSOI for Ultra-Efficient Computing. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC) 9 (1), 2023, 74-82 more… Full text ( DOI )
- Cross-Layer Reliability Modeling of Dual-Port FeFET: Device-Algorithm Interaction. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) 70 (7), 2023, 2891-2903 more… Full text ( DOI )
- Ultra-Efficient Edge AI using FeFET-based Monolithic 3D Integration. IEEE/ACM 42nd International Conference on Computer-Aided Design (ICCAD), 2023 more…
- Frontiers in AI Acceleration: From Brain-inspired Computing to Monolithic 3D Integration. 31th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2023 more…
- BEOL FeFET SPICE-Compatible Model for Benchmarking 3-D Monolithic In-Memory TCAM Computation. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-7 more… Full text ( DOI )
- Comprehensive Modeling of Switching Behavior in BEOL FeFET for Monolithic 3-D Integration. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-6 more… Full text ( DOI )
- Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. Proceedings of the 60th Annual Design Automation Conference (DAC), 2023 more… Full text ( DOI )
- FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-10 more… Full text ( DOI )
- Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units. IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2023 more… Full text ( DOI )
- Approximation-Aware and Quantization-Aware Training for Graph Neural Networks. IEEE Transactions on Computers (TC), 2023 more… Full text ( DOI )
- ML-TCAD: Perspectives and Challenges on Accelerating Transistor Modeling using ML. ACM/IEEE 5th Workshop on Machine Learning for CAD (MLCAD), 2023 more… Full text ( DOI )
- 5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing. 2023 Device Research Conference (DRC), 2023 more… Full text ( DOI )
- Modeling and Benchmarking 5nm Ferroelectric FinFET from Room Temperature down to Cryogenic Temperatures. IEEE 23rd International Conference on Nanotechnology (NANO), 2023 more… Full text ( DOI )
- Cryogenic In-Memory Computing for Quantum Processors Using Commercial 5nm FinFETs. IEEE Open Journal of Circuits and Systems (OJCAS) PP, 2023, 1-1 more… Full text ( DOI )
- Cryogenic CMOS for Quantum Processing: 5-nm FinFET-Based SRAM Arrays at 10 K. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
- Monolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
- Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning. 2023 IEEE International Symposium on Circuits and Systems (ISCAS), 2023 more… Full text ( DOI )
- Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023 more… Full text ( DOI )
- Performance and Energy Studies on NC-FinFET Cache-Based Systems With FN-McPAT. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI) 31 (9), 2023, 1280-1293 more… Full text ( DOI )
- Long-Term Aging Impacts on Spatial On-Chip Power Density and Temperature. 2023 19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2023 more… Full text ( DOI )
- Modeling and Investigating Total Ionizing Dose Impact on FeFET. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC) PP, 2023, 1-1 more… Full text ( DOI )
- First demonstration of in-memory computing crossbar using multi-level Cell FeFET. Nature Communications 14, 2023 more… Full text ( DOI )
- Golden-Free Robust Age Estimation to Triage Recycled ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) PP, 2023, 1-1 more… Full text ( DOI )
- Analysis and Characterization of Defects in FeFETs. IEEE International Test Conference (ITC), 2023 more…
- FeFET Reliability Modeling for In-Memory Computing: Challenges, Perspective, and Emerging Trends. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-7 more… Full text ( DOI )
- HW/SW Co-Design for Reliable TCAM- Based In-Memory Brain-Inspired Hyperdimensional Computing. IEEE Transactions on Computers (TC) 72 (8), 2023, 2404–2417 more… Full text ( DOI )
- Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
- Accelerating FeFET Reliability Analysis using Machine Learning. IEEE Transactions on Electron Devices (TED), 2023 more… Full text ( DOI )
- Impact of Non-Volatile Memory Cells on Spiking Neural Network Annealing Machine With In-Situ Synapse Processing. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
- Unlocking Efficiency in BNNs: Global by Local Thresholding for Analog-based HW Accelerators. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) PP, 2023, 1-1 more… Full text ( DOI )
- Hyperdimensional Computing for Robust and Efficient Unsupervised Learning. 57th Asilomar Conference on Signals, Systems, and Computers, (Asilomar), 2023 more…
- Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET. ACS Applied Materials & Interfaces (ACS), 2023 more… Full text ( DOI )
- Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 13 (1), 2023, 86-95 more… Full text ( DOI )
- Characterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
- Challenges in Machine Learning Techniques to Estimate Reliability from Transistors to Circuits. IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2023 more… Full text ( DOI )
- Design Automation for Cryogenic CMOS Circuits. Proceedings of the 60th Annual Design Automation Conference (DAC), 2023 more… Full text ( DOI )